News

Intel Sapphire Speedy-SP Xeon CPUs To Characteristic Up To 64 GB HBM2e Reminiscence, Additionally Talks Subsequent-Gen Xeon & Information Heart GPUs For 2023+

Written by Jeff Lampkin

At SC21 (Supercomputing 2023), Intel hosted a short session the place they discussed their next-generation knowledge middle roadmap and talked about their upcoming Ponte Vecchio GPUs & the Sapphire Rapids-SP Xeon CPUs.

Intel Talks Sapphire Rapids-SP Xeon CPUs & Ponte Vecchio GPUs at SC21 – Additionally Reveals Subsequent-Gen Information Heart Lineup For 2023+

Intel had already mentioned many of the technical particulars concerning its next-gen knowledge middle CPU & GPU lineup at Sizzling Chips 33. They’re reaffirming what they’ve mentioned and in addition revealing a number of extra tidbits at SuperComputing 21.

Intel Celebrates fiftieth Anniversary of 4004: The World’s First Industrial Microprocessor – A Retrospective

The present era of Intel Xeon Scalable processors has been extensively adopted by our HPC ecosystem companions, and we’re including new capabilities with Sapphire Rapids – our next-generation Xeon Scalable processor that’s presently sampling with prospects. This next-generation platform delivers multi-capabilities for the HPC ecosystem, bringing for the primary time in-package excessive bandwidth reminiscence with HBM2e that leverages the Sapphire Rapids multi-tile structure. Sapphire Rapids additionally brings enhanced efficiency, new accelerators, PCIe Gen 5 and different thrilling capabilities optimized for AI, knowledge analytics and HPC workloads.

HPC workloads are evolving quickly. They’re turning into extra various and specialised, requiring a mixture of heterogeneous architectures. Whereas the x86 structure continues to be the workhorse for scalar workloads, if we’re to ship orders-of magnitude efficiency beneficial properties and transfer past the exascale period, we should critically take a look at how HPC workloads are run inside vector, matrix and spatial architectures, and we should guarantee these architectures seamlessly work collectively.Intel has adopted an “complete workload” technique, the place workload-specific accelerators and graphics processing models (GPU) can seamlessly work with central processing models (CPU) from each {hardware} and software program views.

We’re deploying this technique with our next-generation Intel Xeon Scalable processors and Intel Xe HPC GPUs (code-named “Ponte Vecchio”) that can energy the two exaflop Aurora supercomputer at Argonne Nationwide Laboratory. Ponte Vecchio has the very best compute density per socket and per nodes, packing 47 tiles with our superior packaging applied sciences: EMIB and Foveros. There are over 100 HPC functions working on Ponte Vecchio. We’re additionally working with companions and prospects together with – ATOS, Dell, HPE, Lenovo, Inspur, Quanta and Supermicro – to deploy Ponte Vecchio of their newest supercomputers.

via Intel

Intel Sapphire Rapids-SP Xeon Information Heart CPUs

In response to Intel, the Sapphire Rapids-SP will are available two bundle variants, a normal, and an HBM configuration. The usual variant will characteristic a chiplet design composed of 4 XCC dies that can characteristic a die dimension of round 400mm2. That is the die dimension for a singular XCC die and there will probably be 4 in complete on the highest Sapphire Rapids-SP Xeon chip. Every die will probably be interconnected by way of EMIB which has a pitch dimension of 55u and a core pitch of 100u.

The usual Sapphire Rapids-SP Xeon chip will characteristic 10 EMIB interconnects and the complete bundle will measure at a mighty 4446mm2. Shifting over to the HBM variant, we’re getting an elevated variety of interconnects which sit at 14 and are wanted to interconnect the HBM2E reminiscence to the cores.

Intel Core i7-12800H Alder Lake-P CPU Benchmarks Leak Out Too, Up To 25% Sooner Than AMD Ryzen 7 5800H CPU In Single-Threaded Take a look at

The 4 HBM2E reminiscence packages will characteristic 8-Hello stacks so Intel goes for not less than 16 GB of HBM2E reminiscence per stack for a complete of 64 GB throughout the Sapphire Rapids-SP bundle. Speaking in regards to the bundle, the HBM variant will measure at an insane 5700mm2 or 28% bigger than the usual variant. In comparison with the just lately leaked EPYC Genoa numbers, the HBM2E bundle for Sapphire Rapids-SP would find yourself 5% bigger whereas the usual bundle will probably be 22% smaller.

  • Intel Sapphire Rapids-SP Xeon (Customary Package deal) – 4446mm2
  • Intel Sapphire Rapids-SP Xeon (HBM2E Package deal) – 5700mm2
  • AMD EPYC Genoa (12 CCD Package deal) – 5428mm2

Intel additionally states that the EMIB hyperlink supplies twice the bandwidth density enchancment and 4 instances higher energy effectivity in comparison with normal bundle designs. Curiously, Intel calls the newest Xeon lineup Logically monolithic which signifies that they’re referring to the interconnect that’ll supply the identical performance as a single-die would however technically, there are 4 chiplets that will probably be interconnected collectively. You possibly can learn the total particulars concerning the usual 56 core & 112 thread Sapphire Rapids-SP Xeon CPUs right here.

Intel Xeon SP Households:

Household BrandingSkylake-SPCascade Lake-SP/APCooper Lake-SPIce Lake-SPSapphire RapidsEmerald RapidsGranite RapidsDiamond Rapids
Course of Node14nm+14nm++14nm++10nm+Intel 7Intel 7Intel 4Intel 3?
Platform IdentifyIntel PurleyIntel PurleyIntel Cedar IslandIntel WhitleyIntel Eagle StreamIntel Eagle StreamIntel Mountain Stream
Intel Birch Stream
Intel Mountain Stream
Intel Birch Stream
MCP (Multi-Chip Package deal) SKUsNoSureNoNoSureTBDTBD (Probably Sure)TBD (Probably Sure)
SocketLGA 3647LGA 3647LGA 4189LGA 4189LGA 4677LGA 4677LGA 4677TBD
Max Core RelyUp To twenty-eightUp To twenty-eightUp To twenty-eightUp To 40Up To 56Up To 64?Up To 120?TBD
Max Thread RelyUp To 56Up To 56Up To 56Up To 80Up To 112Up To 128?Up To 240?TBD
Max L3 Cache38.5 MB L338.5 MB L338.5 MB L360 MB L3105 MB L3120 MB L3?TBDTBD
Reminiscence AssistDDR4-2666 6-ChannelDDR4-2933 6-ChannelUp To six-Channel DDR4-3200Up To eight-Channel DDR4-3200Up To eight-Channel DDR5-4800Up To eight-Channel DDR5-5600?TBDTBD
PCIe Gen AssistPCIe 3.0 (48 Lanes)PCIe 3.0 (48 Lanes)PCIe 3.0 (48 Lanes)PCIe 4.0 (64 Lanes)PCIe 5.0 (80 lanes)PCIe 5.0PCIe 6.0?PCIe 6.0?
TDP Vary140W-205W165W-205W150W-250W105-270WUp To 350WUp To 350WTBDTBD
3D Xpoint Optane DIMMN/AApache GoBarlow GoBarlow GoCrow GoCrow Go?Donahue Go?Donahue Go?
CompetitorsAMD EPYC Naples 14nmAMD EPYC Rome 7nmAMD EPYC Rome 7nmAMD EPYC Milan 7nm+AMD EPYC Genoa ~5nmAMD Subsequent-Gen EPYC (Put up Genoa)AMD Subsequent-Gen EPYC (Put up Genoa)AMD Subsequent-Gen EPYC (Put up Genoa)
Launch201720182020202120232023?2024?2025?

Intel Ponte Vecchio Information Heart GPUs

Shifting over to Ponte Vecchio, Intel outlined some key options of its flagship knowledge middle GPU similar to 128 Xe cores, 128 RT models, HBM2e reminiscence, and a complete of 8 Xe-HPC GPUs that will probably be related collectively. The chip will characteristic as much as 408 MB of L2 cache in two separate stacks that can join by way of the EMIB interconnect. The chip will characteristic a number of dies primarily based on Intel’s personal ‘Intel 7’ course of and TSMC’s N7 / N5 course of nodes.

Intel additionally beforehand detailed the bundle and die dimension of its flagship Ponte Vecchio GPU primarily based on the Xe-HPC structure. The chip will consist of two tiles with 16 lively dies per stack. The utmost lively prime die dimension goes to be 41mm2 whereas the bottom die dimension which can also be known as the ‘Compute Tile’ sits at 650mm2.

The Ponte Vecchio GPU makes use of 8 HBM 8-Hello stacks and comprises a complete of 11 EMIB interconnects. The entire Intel Ponte Vecchio bundle would measure 4843.75mm2. It is usually talked about that the bump pitch for Meteor Lake CPUs utilizing Excessive-Density 3D Forveros packaging will probably be 36u.

Apart from these, Intel additionally posted a roadmap wherein they affirm that the next-generation Xeon Sapphire Rapids-SP household and the Ponte Vecchio GPUs will probably be accessible in 2023 however there’s additionally the next-generation product lineup which is deliberate for 2023 and past. Intel hasn’t explicitly informed what it plans to carry however we all know that Sapphire Rapids successor will probably be often known as Emerald and Granite Rapids and the successor to that will probably be often known as Diamond Rapids.

For the GPU facet, we do not know what the successor to Ponte Vecchio will probably be identified however anticipate it to be competing with NVIDIA’s and AMD’s next-generation GPUs for the information middle market.

Shifting ahead, Intel has a number of next-generation options for superior packaging designs similar to Forveros Omni and Forveros Direct as they enter the Angstrom Period of transistor improvement.

Subsequent-Gen Information Heart GPU Accelerators

Accelerator IdentifyAMD Intuition MI200NVIDIA Hopper GH100Intel Ponte Vecchio
Packaging DesignMCM (Infinity Material)MCM (NVLINK)MCM (EMIB + Forveros)
GPU StructureAldebaran (CDNA 2)Hopper GH100Xe-HPC
GPU Course of Node6nm5nm?7nm (Intel 4)
GPU Cores14,08018,432?32,768?
GPU Clock Pace1700 MHzTBATBA
FP16 Compute383 TOPsTBATBA
FP32 Compute95.7 TFLOPsTBA~45 TFLOPs (A0 Silicon)
FP64 Compute47.9 TFLOPsTBATBA
Reminiscence Capability128 GB HBM2E128 GB HBM2E?TBA
Reminiscence Clock3.2 GbpsTBATBA
Reminiscence Bus8192-bit8192-bit?8192-bit
Reminiscence Bandwidth3.2 TB/s~2.5 TB/s?5 TB/s
Kind IssueTwin Slot, Full Size / OAMTwin Slot, Full Size / OAMOAM
CoolingPassive Cooling
Liquid Cooling
Passive Cooling
Liquid Cooling
Passive Cooling
Liquid Cooling
TDPThis autumn 20232H 20232023-2023?

About the author

Jeff Lampkin

Jeff Lampkin was the first writer to have joined gamepolar.com. He has since then inculcated very effective writing and reviewing culture at GamePolar which rivals have found impossible to imitate. His approach has been to work on the basics while the whole world was focusing on the superstructures.